corrade-nucleus-nucleons – Blame information for rev 20

Subversion Repositories:
Rev:
Rev Author Line No. Line
20 office 1 define("ace/mode/verilog_highlight_rules",["require","exports","module","ace/lib/oop","ace/mode/text_highlight_rules"], function(require, exports, module) {
2 "use strict";
3  
4 var oop = require("../lib/oop");
5 var TextHighlightRules = require("./text_highlight_rules").TextHighlightRules;
6  
7 var VerilogHighlightRules = function() {
8 var keywords = "always|and|assign|automatic|begin|buf|bufif0|bufif1|case|casex|casez|cell|cmos|config|" +
9 "deassign|default|defparam|design|disable|edge|else|end|endcase|endconfig|endfunction|endgenerate|endmodule|" +
10 "endprimitive|endspecify|endtable|endtask|event|for|force|forever|fork|function|generate|genvar|highz0|" +
11 "highz1|if|ifnone|incdir|include|initial|inout|input|instance|integer|join|large|liblist|library|localparam|" +
12 "macromodule|medium|module|nand|negedge|nmos|nor|noshowcancelled|not|notif0|notif1|or|output|parameter|pmos|" +
13 "posedge|primitive|pull0|pull1|pulldown|pullup|pulsestyle_onevent|pulsestyle_ondetect|rcmos|real|realtime|" +
14 "reg|release|repeat|rnmos|rpmos|rtran|rtranif0|rtranif1|scalared|showcancelled|signed|small|specify|specparam|" +
15 "strong0|strong1|supply0|supply1|table|task|time|tran|tranif0|tranif1|tri|tri0|tri1|triand|trior|trireg|" +
16 "unsigned|use|vectored|wait|wand|weak0|weak1|while|wire|wor|xnor|xor" +
17 "begin|bufif0|bufif1|case|casex|casez|config|else|end|endcase|endconfig|endfunction|" +
18 "endgenerate|endmodule|endprimitive|endspecify|endtable|endtask|for|forever|function|generate|if|ifnone|" +
19 "macromodule|module|primitive|repeat|specify|table|task|while";
20  
21 var builtinConstants = (
22 "true|false|null"
23 );
24  
25 var builtinFunctions = (
26 "count|min|max|avg|sum|rank|now|coalesce|main"
27 );
28  
29 var keywordMapper = this.createKeywordMapper({
30 "support.function": builtinFunctions,
31 "keyword": keywords,
32 "constant.language": builtinConstants
33 }, "identifier", true);
34  
35 this.$rules = {
36 "start" : [ {
37 token : "comment",
38 regex : "//.*$"
39 }, {
40 token : "comment.start",
41 regex : "/\\*",
42 next : [
43 { token : "comment.end", regex : "\\*/", next: "start" },
44 { defaultToken : "comment" }
45 ]
46 }, {
47 token : "string", // " string
48 regex : '".*?"'
49 }, {
50 token : "string", // ' string
51 regex : "'.*?'"
52 }, {
53 token : "constant.numeric", // float
54 regex : "[+-]?\\d+(?:(?:\\.\\d*)?(?:[eE][+-]?\\d+)?)?\\b"
55 }, {
56 token : keywordMapper,
57 regex : "[a-zA-Z_$][a-zA-Z0-9_$]*\\b"
58 }, {
59 token : "keyword.operator",
60 regex : "\\+|\\-|\\/|\\/\\/|%|<@>|@>|<@|&|\\^|~|<|>|<=|=>|==|!=|<>|="
61 }, {
62 token : "paren.lparen",
63 regex : "[\\(]"
64 }, {
65 token : "paren.rparen",
66 regex : "[\\)]"
67 }, {
68 token : "text",
69 regex : "\\s+"
70 } ]
71 };
72 this.normalizeRules();
73 };
74  
75 oop.inherits(VerilogHighlightRules, TextHighlightRules);
76  
77 exports.VerilogHighlightRules = VerilogHighlightRules;
78 });
79  
80 define("ace/mode/verilog",["require","exports","module","ace/lib/oop","ace/mode/text","ace/mode/verilog_highlight_rules","ace/range"], function(require, exports, module) {
81 "use strict";
82  
83 var oop = require("../lib/oop");
84 var TextMode = require("./text").Mode;
85 var VerilogHighlightRules = require("./verilog_highlight_rules").VerilogHighlightRules;
86 var Range = require("../range").Range;
87  
88 var Mode = function() {
89 this.HighlightRules = VerilogHighlightRules;
90 this.$behaviour = this.$defaultBehaviour;
91 };
92 oop.inherits(Mode, TextMode);
93  
94 (function() {
95  
96 this.lineCommentStart = "//";
97 this.blockComment = {start: "/*", end: "*/"};
98  
99 this.$id = "ace/mode/verilog";
100 }).call(Mode.prototype);
101  
102 exports.Mode = Mode;
103  
104 });