corrade-nucleus-nucleons – Blame information for rev 20

Subversion Repositories:
Rev:
Rev Author Line No. Line
20 office 1 always @(negedge reset or posedge clk) begin
2 if (reset == 0) begin
3 d_out <= 16'h0000;
4 d_out_mem[resetcount] <= d_out;
5 laststoredvalue <= d_out;
6 end else begin
7 d_out <= d_out + 1'b1;
8 end
9 end
10  
11 always @(bufreadaddr)
12 bufreadval = d_out_mem[bufreadaddr];